DSP与ARM通信的奇怪问题 - OMAP-L138 - 嵌入式开发者社区 - 51ele.net
设为首页收藏本站

嵌入式开发者社区

 找回密码
 立即注册

QQ登录

只需一步,快速开始

查看: 4605|回复: 7
打印 上一主题 下一主题

[已解决] DSP与ARM通信的奇怪问题

[复制链接]

5

主题

24

帖子

95

积分

注册会员

Rank: 2

积分
95
跳转到指定楼层
楼主
发表于 2017-4-16 13:54:18 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
本帖最后由 wwfdzh2012 于 2017-4-17 12:09 编辑 & V$ E/ k% d0 b+ U

6 L9 U& ]/ F. y9 t# T
项目要求DSP不跑操作系统,arm核上linux3.3,在做双核通信的linux设备驱动时候遇到了一个诡异的问题,只要DSP通过CHIPSIG_INT0触发ARM中断,ARM中的linux内核的其他很多驱动都停止了工作,连自己的led控制驱动都失去了响应,流水灯也失去了闪烁,LCD显示也停止了刷新,但是运行GUI还是可以显示界面,就是界面不能刷新,触摸屏也不懂了。好像是其他驱动都阻塞了!!!!
我的linux设备驱动是这样实现的:使用Tasklet实现软中断,只要DSP的数据一准备好就通过CHIPSIG_INT0触发ARM中断,这时候驱动的tasklet顶半部会立刻响应中断去读取数据,然后调度tasklet的底半部,这样的流程一般是没问题才对,但是现象就是只要顶半部被触发了,其他驱动就异常了,linux也没有任何提示,而且无法恢复,触发重启内核,但是唯独这个驱动完全正常运行,数据照样读取也不丢帧,还有一个现象是DSP触发一次CHIPSIG_INT0中断,ARM核会响应两次,也就是顶半部会触发两次调用!!我的驱动实现如下,麻烦帮忙看下。
  1. //头文件省略% f# J# f8 R1 q: V. W- _0 v
  2. 9 M* o3 p8 v0 M- P
  3. //引入其他模块函数和变量
    2 \% T# `: z: P: ?+ i6 e
  4. extern Ping_Pong_Buffer res_buff;
    ) n* m$ \+ T- f8 d% w; I, b

  5. 7 l- ~; H5 C. C: m1 L
  6. extern char k_linkQueue_create(linkQueue *queue, unsigned int dataType, unsigned int blockNumber, unsigned int blockSize);//创建链队列6 P, M3 z4 o. J. H7 U/ m5 Q
  7. extern void k_linkQueue_release(linkQueue *queue);//释放链队列9 F- ]! R. f4 X7 }  r( {9 D3 ]/ a: B) c9 }2 b
  8. extern unsigned int k_linkQueue_insertData(linkQueue *queue, void *data, int force);//链队列插入数据$ }# n, n  f, g4 h; T2 `- X; n
  9. extern unsigned int k_linkQueue_getData(linkQueue *queue, void *r_buff);//获取队列的数据
      q. P- E) S$ N1 e
  10. 4 U+ S. {; D/ O, h" z' p# E! d
  11. extern void SHM_ARM_Init(unsigned char* const mem_base);//共享内存初始化7 @1 _) V  i! C6 H

  12. 0 m" Z9 H" s" K3 K- E% \  `
  13. static int read_quest = 0;//如果有读取数据请求且链队列无数据可读,则置1; q! {% [7 |4 d+ y4 J& p6 x" Q
  14. //设置主从设备号
    # [! g. r+ R) Y& x( E
  15. #define PROTOCOL_MAJOR      11 n% z. L' U3 [! f1 v
  16. #define PROTOCOL_MINOR      0. d% `- E7 U% Q& g% S

  17. 3 I, T9 k( D. c$ @5 N( T

  18. : }& I- [; W8 Y+ ]: w. [) B

  19. % ~, |) B+ K0 w9 _# k! W0 m- p
  20. //定义设备驱动的名字或设备节点的名字
    9 M1 V: v8 l  Q0 @( O1 h6 }; p
  21. #define     DEVICE_NAME         "protocol_driver"0 c) v1 f5 U3 R4 S2 T

  22. % A# e' H1 x+ \& ^

  23. 9 K) M+ B6 d5 P/ @8 I
  24. //定义全局的循环队列作为数据缓冲区  X9 P2 t; c6 K* [  _
  25. k_linkQueue queue;9 x5 g7 v( t4 h, R' l5 F: P1 p
  26. 3 [3 N1 j" i& T/ k4 o. ^
  27. //寄存器地址映射全局变量
    ) a. Z: Q- [$ N3 w: H% b/ Q
  28. unsigned int *R_CHIPSIG = NULL;//CHIPSIG寄存器$ ], A0 ]% R0 q" @6 q7 o; T! E
  29. unsigned int *R_CHIPSIG_CLR = NULL;//CHIPSIG_CLR寄存器! B( A8 _4 [2 ?; r$ A4 R/ e8 N9 q
  30. . c* p. V: u- m" J! g
  31. //物理内存映射全局变量; O' c' U5 {% |; E
  32. volatile void *mem_base = NULL;7 l: m  Q0 m) r) k5 g
  33. volatile unsigned char *cur_buf_ptr = NULL;
    " n& p6 q% A9 L8 Z, @
  34. volatile unsigned char *data_ready_ptr = NULL;/ i  Q8 J) G  ?6 S! l2 J
  35. ) B1 H4 `) O3 H& U
  36. # R" x+ c4 b* \
  37. , ]( b5 w+ Q/ W' s' N5 Q
  38. //定义读数据等待队列头,IO阻塞5 a( }' e: U# s! @# ]/ r; k& l
  39. DECLARE_WAIT_QUEUE_HEAD(wait_queue_head);
    - Q" {# O7 f8 y
  40. + ?" q! K; X; g+ D
  41. //定义原子变量/ r7 O; G& l7 C$ j# S
  42. static atomic_t dev_available = ATOMIC_INIT(1);//原子变量的值为1,即只能一个进程打开此设备, n3 v! ~" t" \3 A, D+ \

  43. , Q- T9 Z+ n, q1 o7 R, c$ D

  44. ( ?& j+ I. \2 i2 ?, L
  45. //定义设备类) @9 M# w9 d9 x/ j) `2 m* n) m" X, L, J
  46. static struct class *protocol_class;+ O3 B8 |( i* i3 W
  47. struct cdev *protocol_cdev;
    / p7 Y( E2 D* u
  48. dev_t protocol_dev_no;
    3 n6 o1 Q9 R- |6 \. C4 j: M
  49. 2 u2 B2 h* p$ c( p1 N
  50. /*定义tasklet和声明底半部函数并关联*/
    6 A8 h9 ^6 u- e7 t4 l! g5 ?
  51. void read_data_tasklet(unsigned long);
    % J7 s  A5 `5 J3 q) x
  52. / G( x1 m5 Y, s+ F, @5 Z
  53. DECLARE_TASKLET(CHIPINT0_tasklet,read_data,0);
    + a1 T* y8 v: [% m& e5 p
  54. //将CHIPINT0_tasklet与read_data绑定,传入参数0
    3 o) l* `" r- J6 r% a
  55. : w2 A+ W; ~% O3 S
  56. /*中断处理底半部, 拷贝内存*/
    ) C# S6 ^+ S& f6 s" J
  57. void read_data(unsigned long a)$ `% o" b( V0 ^& L8 f- w" B# }
  58. {
    1 s* i- c$ V1 r8 V3 K  W
  59.     if(read_quest == 1)//如果进程调用了此驱动的read函数且链队列没有数据可读,read_quest将会被赋值为15 X; _; q+ ], {, Y* w- |% u, L( Q
  60.     {
    9 d2 W8 d# n* E+ }5 {) [, Q, X) D6 X0 z
  61.         read_quest = 0;
    9 G  Z" ]( Y- P& e' {7 x. B
  62.         wake_up_interruptible(&wait_queue_head);//唤醒读等待队列
    ( W% T: P; N2 q
  63.     }1 ]7 b! M1 ?. `. H2 a& o+ ~
  64. - D+ R! `* \6 M2 \2 _
  65. }
    ' r- m& S3 J3 Q& h1 _* J

  66. * O% A# Z8 H: q. {4 w
  67. /*中断处理顶半部*/
    4 q( T' V+ T9 x6 }/ D. p. d
  68. irqreturn_t CHIPINT0_interrupt(int irq,void *dev_id)
    * {  D# F$ B" M1 b" z
  69. {3 f3 R% J- u$ a8 g3 K/ a
  70.     //只要一触发进入这个程序,其他驱动会出问题,即使这个程序什么都不做也会这样6 u/ ]/ q/ d. m7 h% ?5 [
  71.     volatile Buffer_Type *next_read;
    : q% C4 m# `. ^% l4 }5 U
  72.     //如果DSP数据已经ready
    9 l% }" S! a* I7 P+ {* G: X
  73.     if(*(res_buff.cur_buffer->data_ready) == 1)2 s! m. n- y6 x* ]% j2 M# u
  74.     {
    + p" T' w8 u4 q( |5 F9 m
  75.         if(*(res_buff.bufferID_ptr) == BUFF_ID_PING)//切换读buffer8 |8 s7 o1 g# g  b! P) D# f
  76.         {' X7 S5 G0 @: T( P0 q4 r
  77.             next_read = &res_buff.pong_buffer;//下一次中断读pong buffer
    $ r8 `1 R5 c! L7 Y7 e
  78.             //printk(KERN_ALERT"read ping\n");! w" p3 }) @' Y" T0 r: `. U: B1 D4 L
  79.         }
    4 T* t# u+ N. w3 ]  i8 K2 x
  80.         else
    0 \2 e% @. P+ W6 }$ d. B
  81.         {
    # u( h8 f: Q7 X9 }& n
  82.             next_read = &res_buff.ping_buffer;//下一次中断读ping buffer
    2 k/ c1 S8 H9 Q! {# O7 |5 V
  83.             //printk(KERN_ALERT"read pong\n");1 f! Y5 ^. P" E  `! s% ^# l8 C& P
  84.         }
    ! ~  `* I/ p0 T0 y3 a
  85.         *(res_buff.bufferID_ptr) ^= BUFF_ID_PING;//切换DSP写另一个buffer: l6 h' a  B: T+ u. D- k2 S
  86.         //将数据插入链队列
    6 ]$ I+ j5 A4 L; }1 O/ h, ]! Z7 y
  87.         k_linkQueue_insertData(&queue, res_buff.cur_buffer->buf_ptr, 0);' x1 w2 C5 l; g: @+ {& r, M
  88.         //标识位都重置! l# }0 _6 }0 k2 _  [$ I
  89.         *(res_buff.cur_buffer->data_ready) = 0;# R, k8 ]- Q+ t% i+ z) \5 T
  90.         *(res_buff.cur_buffer->data_size) = 0;# G* r6 ]1 H' m( Q5 E$ i  m! M1 }
  91.         res_buff.cur_buffer = next_read;
    6 F9 w0 k7 h' N: K7 N" d9 p3 V4 Z
  92.     }
    5 @! K6 \) l6 c5 k4 Y! d
  93.     //清楚中断标识
    ! Y2 w2 ~3 }1 E2 [& n) I) q8 |
  94.     *R_CHIPSIG_CLR = 1 << 0;//clear chipint0 status. n* i5 h2 I. Q4 g* L0 z2 |
  95.     tasklet_schedule(&CHIPINT0_tasklet);//调度底半部     7 z; r& D4 O; t) m. u  J0 T

  96. 3 c8 t$ ]+ {0 z4 y+ h1 R
  97. ( n0 O! Q; `- ?3 S& C
  98.     return IRQ_HANDLED;
    0 W$ Y+ W, t/ Q2 S. J

  99. + `. r5 Q) z* C' t7 N
  100. }
    8 L+ `+ a3 Q$ d- i. f; m7 t
  101. , @( \- s0 `& y
  102. //文件打开函数( l+ E+ R) m3 O2 L/ R
  103. static int protocol_open(struct inode *inode, struct file *file)
      D, w7 ]7 {: ~& y
  104. {
    , W, [$ o' f  k. |7 A
  105.     int result = 0;
    - G: I' Z0 {1 M0 s! }5 q/ p7 t
  106.     if(!atomic_dec_and_test(&dev_available))" w" f/ `$ V: x; ~6 N6 a! @
  107.     {
    / ?0 Q( Z) y4 c; r. N2 H
  108.         atomic_inc(&dev_available);8 f2 V3 R6 h7 Z5 W) h$ l$ q" w
  109.         return -EBUSY;//设备已经被打开
    * f. P( E! U9 n( Q2 g
  110.     }6 S' i; R% ?, S  L1 I
  111.     printk (KERN_ALERT "\nprotrol driver open\n");
      ?# Z% ~4 c; h( h: F. P
  112.     return 0;
    . h% n; ^1 N4 p8 u$ W3 \" n
  113. }
    3 }) ~% h5 \' U- r
  114. . q/ K; c# x# \5 n! }: Z
  115. //文件释放函数
    6 Q9 z; e7 l- N& ?; ^
  116. static int protocol_release(struct inode *inode, struct file *filp), k; o9 m4 e" s$ q
  117. {
    % ]" Y! U+ o! J. j3 l4 M. n- C
  118.     atomic_inc(&dev_available);//释放设备,原子变量加1
    8 Q! E# E4 X7 S, ^9 b
  119.     printk (KERN_ALERT "device released\n");
    9 }& h6 Y* y  u) v% d, Q
  120.     return 0;; |; P; X2 K3 ]" w0 V! p3 C7 [
  121. }
    7 b8 Z; {. ?: w. n/ n3 @" {, Y

  122. + [0 Z2 b# v% _0 t8 A" W6 [& a4 d2 L( H
  123. //文件读函数
    , `& C3 Z$ E. J( z* L
  124. static int protocol_read(struct file *filp, char *dst, size_t size, loff_t*offset)
    # r1 v2 d/ Q# K- y0 Q3 P
  125. {
    ! d4 G$ O/ F8 W& Z" k6 u, v
  126.     int ret = 0;7 q/ n) o3 _5 o# {5 x
  127.     //定义等待队列  L) O& s) J& W) R+ J- M
  128.     DECLARE_WAITQUEUE(wait_queue, current);//定义等待队列
    % N" Z' K" M8 _' V0 z
  129.     add_wait_queue(&wait_queue_head, &wait_queue);//添加等待队列
    ' ^. G" [( I5 a& x" G" z
  130.     if(queue.remainNumber == queue.blockNumber)//当前buffer没数据* k+ i% h" r1 T! s
  131.     {
    6 A# i& G0 C; b6 ?2 c( t( o2 s8 t
  132.         //printk(KERN_ALERT"\nbuffer no data\n");
    " _+ [& I1 L" L6 D- @
  133.         //如果是非阻塞方式读取,则直接跳出" w4 S( A' w6 G7 ?+ v' U
  134.         if(filp->f_flags & O_NONBLOCK)
    + c0 e5 ]5 P& u6 ^- U. Q, Z
  135.         {* b" Y# g/ `4 ?, [% Y
  136.             ret = -EAGAIN;
    : A2 k+ V( m# S- O
  137.             goto out;
    4 g; ~1 h( P  B& [) z, {* {
  138.         }1 X, D1 C" ^* J! j
  139.         //阻塞当前进程,放弃cpu资源/ b: k+ T9 x+ u' G  w
  140.         read_quest = 1;  |$ u/ Z5 G: J( v3 \" B
  141.         __set_current_state(TASK_INTERRUPTIBLE);//改变进程状态为睡眠; e. x- B) C9 k# r( t( s
  142.         schedule();//调度其他进程运行
    & x0 ^9 B% N* b  s4 K& p" V$ t
  143.         if(signal_pending(current))# m0 g, Y- j: T& w
  144.         {7 x- I) E0 F$ d: h- a
  145.             //如果是因为信号被唤醒,则返回到系统调用之前的地方
    % ~# Q- b+ E8 O
  146.             ret = -ERESTARTSYS;
    9 O8 l+ e! e0 d' W9 v
  147.             goto out;/ d) I# h. O0 \3 a
  148.         }
    ) a& v+ d* d! S) t! e6 p
  149.     }" W+ _) M( `4 r; O! n
  150.     //将数据拷贝到用户空间
    7 p; Z) b" e8 `( x
  151.     ret = k_linkQueue_getData(&queue, dst);! C+ ], `/ F8 d9 s3 P8 C
  152.     if(ret == 0)
    $ T+ F9 t5 _0 i3 ~6 e( N9 g
  153.     {$ _! }# F  H8 I# t* I; g* K
  154.         //printk(KERN_ALERT"\ncopy data to user space failed :%d\n", ret);* @! d+ Y. U- H2 s
  155.     }
    3 s8 ?+ G1 v$ |( ~
  156. out:
    % V$ x. X9 C- s* W
  157.     remove_wait_queue(&wait_queue_head, &wait_queue);//移除等待队列
      s0 \& M7 F. ?: ?/ t
  158.     set_current_state(TASK_RUNNING);//设置当前进程为运行状态; Z2 i" B, m; L/ B' m
  159.     return ret;& U* F5 M* D$ F
  160. }% T9 M8 N( K' @  q# l: M* U& X
  161. ( e) o1 ?$ ]  g9 `

  162. & m8 L1 c/ K7 j8 [, G6 F
  163. static long protocol_ioctl(struct file *file, unsigned int cmd, unsigned long value)) V$ Z4 a4 f+ U  ]
  164. {
    * O* n9 O! Q) }  a
  165.     return 0;
    9 k2 ^" Q, M, v0 l2 z) `
  166. }
    , u7 V/ v) u+ T# y1 V% h/ H7 F; L
  167. /*驱动文件操作结构体,file_operations结构体中的成员函数会在应用程序进行) L: T! B/ J  D: t
  168. open()、release()、ioctl()协同调用时被调用*/7 F7 J0 Y0 @) E* d0 ?% p5 f9 |  h" x
  169. static const struct file_operations protocol_fops =6 x3 s; a: \# L) ?$ a
  170. {+ t0 x' |* V0 p8 v' S
  171.   .owner    = THIS_MODULE,: Q$ B6 }# G3 `) \
  172.   .open     = protocol_open,/ t$ W" _( i# J+ Z0 n8 H. ?
  173.   .release  = protocol_release,
    7 R# _- M# ]* v4 S, u) r! B! G
  174.   .read     = protocol_read,
    - p  ~$ v5 D9 T* N& ?3 p$ T, f
  175. //  .write  = protocol_write,& Q+ Z! c  H# z" g7 x; }5 H' q
  176.   .unlocked_ioctl=protocol_ioctl,4 u/ N1 [: x+ u2 h3 ?% Q
  177. };6 G; ]  W* Q. p: I9 F- W
  178. : j) t9 D8 Q5 U8 D6 P2 `& k
  179. /*设备驱动模块加载函数*/& f+ g, l- z: Q
  180. int __init protocol_init(void)
    ) [) J9 b4 t# f
  181. {; ~/ i0 o; V8 }$ N, p0 m8 w) }
  182.     int ret = 0;' m" F: X2 S4 C8 Z- y+ q
  183.     int result = 0;8 \5 e# b3 q$ e, N/ O" W) }
  184.     //申请注册设备号(动态)+ X- U" Y$ `7 J" |9 M  x8 y4 Z
  185.     ret=alloc_chrdev_region(&protocol_dev_no, PROTOCOL_MINOR, 1, DEVICE_NAME);
    ' c( s8 j) d6 f8 L
  186.     if(ret < 0)
    ( K: k. J9 w  a3 Q! u
  187.     {" R: Z+ j) P8 s5 @# J; W) _
  188.             printk(KERN_EMERG "alloc_chrdev_region failed\n");8 _  C) g% @6 K% d2 _
  189.             return 0;
    2 Z* M) {9 u+ L# n
  190.     }) h7 `8 W9 q/ V2 Q2 C; r
  191.     //分配cdev
    " M6 x  L( c5 L2 `
  192.     protocol_cdev = cdev_alloc();- x5 Q2 ?* x5 q! t8 p3 m  L) d& M
  193.     if(protocol_cdev == NULL)' I& ?2 S5 b/ }  A) p6 V/ x
  194.     {
    ! @& i* Y9 k* j$ f4 _
  195.             printk(KERN_EMERG "Cannot alloc cdev\n");
    7 h) i3 l' t! b' f% _* h) P+ {" F: x! P
  196.             return 0;
    ! ^& M2 \0 ^* x; i2 o- S' ~
  197.     }
    & e6 h' A1 w1 v+ D/ ~4 A
  198.     //初始化cdev
    7 ~# X6 e! ~$ U  T: H; ]2 Y* n2 z
  199.     cdev_init(protocol_cdev,&protocol_fops);
    4 u# M9 i# n8 r- w8 o
  200.     protocol_cdev->owner=THIS_MODULE;
    " c/ U8 W5 q& E' d8 Q* p
  201.     //注册cdev+ A2 j' J9 L+ @' L2 F
  202.     cdev_add(protocol_cdev, protocol_dev_no, 1); 2 \4 G" [+ H! C- v+ `* Z. D" y
  203.     //创建一个类) F: R9 }, ^5 P& e( W7 s  q( V( n2 y% D
  204.     protocol_class = class_create(THIS_MODULE, DEVICE_NAME);
    : j4 F- B' K& @; ~
  205.     //创建设备节点
    # @7 K: a" P& R( ]8 a. F4 d
  206.     device_create(protocol_class, NULL, protocol_dev_no, NULL, DEVICE_NAME);" A3 p# c2 ^7 h% {: Y3 S
  207.    
    7 F' c* W; v6 k9 r3 _$ q
  208.     + o) z4 [" F) O
  209.     //申请链式循环队列作为缓冲区DSP数据帧的缓冲区. j# T- M  i5 R* c
  210.     k_linkQueue_create(&queue, sizeof(double), 1000, DATA_BLOCK_SIZE);//申请1000个blocksize的队列空间作为帧缓冲区
    8 u: g$ h, _# Y4 A- f: L& ]
  211. ! W% V% O' }' Q) Q! x' v
  212. //映射ARM的核间通讯寄存器9 O3 y5 u1 j" |! e
  213.     R_CHIPSIG = ioremap(SOC_SYSCFG_0_REGS + SYSCFG0_CHIPSIG, 4);
    2 M/ M# `4 b' \' Y$ o
  214.     R_CHIPSIG_CLR = ioremap(SOC_SYSCFG_0_REGS + SYSCFG0_CHIPSIG_CLR, 4);
    . O  I: H4 E: c- x" P3 t
  215.     //将物理地址映射到内核空间. ~4 ]; Y- i. Q5 h# G
  216.     mem_base = ioremap(SHARED_BUFFER_ADDR, SHARED_BUFFER_SIZE);
    " U* d( ^: ^' B/ ~6 D
  217.     //共享内存初始化( p; D" Q4 R+ ]0 J% J9 z- h
  218.     SHM_ARM_Init((unsigned char *)mem_base);4 {* a& D) q/ l$ _2 H" H
  219.     /*申请中断*/: \( ]- k! ?" k3 A0 v# T3 w; u+ X
  220. 4 ^! x" H. L+ m6 G& S
  221.     result = request_irq(IRQ_DA8XX_CHIPINT0, CHIPINT0_interrupt,IRQF_SHARED,"DSP Signal",&protocol_cdev);
    , q- G* x8 y6 b
  222.     if(result != 0)  z/ B7 B  U7 S+ W
  223.     {# S' F3 N. e: d; g- u8 |8 s/ W, h
  224.         if(result == -EINVAL); ]1 b& p" y( p, c: Q( K+ ^7 z
  225.         {0 g+ `! a. E7 m0 U* |6 V
  226.             printk(KERN_ALERT "irq request err:-EINVAL\n");$ L. b4 x7 x7 ]& E+ r# h
  227.         }
    8 }# [" a  g& h( W; d# v1 x* L
  228.         else if(result == -EBUSY)
    4 I# I6 e3 e' n: e' K
  229.         {
    ) L! [4 ?! P$ U- Z8 a7 T5 l
  230.             printk(KERN_ALERT "irq request err:--EBUSY\n");
    - V8 r3 z3 b3 U. X& j
  231.         }( y- `0 j4 q- O3 T" h0 g
  232.         else/ l- M' ^) N+ \
  233.         {
    + {5 \* K1 N% P( ^
  234.             printk(KERN_ALERT "irq request err: unknown\n");
    , `; I9 G% w  Y2 v- a( g
  235.         }
    ; T2 S2 |# }  h% ~7 L8 Q" z
  236.         return result;
    " y1 a2 W/ w$ x* \: [) D
  237.     }# z8 p7 U  \# l) h0 y& L& }
  238.     return 0;2 ?7 j4 f9 z  `0 e0 V( `+ b
  239. }
    9 i% x8 m# t" R: V/ S. E# X- v9 x

  240. ( D7 i" M- X5 _- j
  241. /*设备驱动模块卸载函数*/' F0 `) `! w. E. h: y; x
  242. void __exit protocol_exit(void)( [7 ]. V- A9 g+ {( G/ y
  243. {
      U! t3 ?# q1 @" |6 `2 R
  244.         /*释放中断*/
    , N6 V. ]: s8 U
  245.     free_irq(IRQ_DA8XX_CHIPINT0, NULL);  k( U& V& J. m$ m$ C
  246.     //释放帧缓冲的内存
    6 X& {: v9 n) @1 F
  247.     k_linkQueue_release(&queue);
    / t) j+ y/ o8 q7 i5 e
  248.     //释放寄存器映射
    ) m% d# a6 z; X
  249.     iounmap(R_CHIPSIG);4 {: e3 B( a! K" `- ]7 x
  250.     iounmap(R_CHIPSIG_CLR);
    , f, U3 B* H8 q8 M' B- M
  251.     cdev_del(protocol_cdev);                            //删除cdev; B9 N) Q- @+ ?% }  b, b- ~0 e
  252.     unregister_chrdev_region(protocol_dev_no, 1);   //注销设备号
    9 q2 E# Q2 ^9 l0 c
  253.     device_destroy(protocol_class, protocol_dev_no);            //销毁设备节点
    . L* |2 P" n, d- q8 n5 y( a
  254.     class_destroy(protocol_class);                      //销毁设备类
    % F) A1 V! d. [; j" _/ H1 q% [7 W
  255.     printk(KERN_ALERT "exit success\n");: U4 z, d" v+ J2 ]' S
  256. , \; @3 M* S$ D, E
  257. }7 r$ \' e  n! c4 M7 a
  258. //驱动其他部分省略
复制代码

& O& z7 e4 b3 w: T4 r5 m! a7 N- Z1 B; v2 N9 o
分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏 分享淘帖
回复

使用道具 举报

5

主题

24

帖子

95

积分

注册会员

Rank: 2

积分
95
沙发
 楼主| 发表于 2017-4-16 13:54:19 | 只看该作者
没有人遇到这个问题吗
回复 支持 反对

使用道具 举报

3

主题

852

帖子

3538

积分

创龙

Rank: 8Rank: 8

积分
3538
板凳
发表于 2017-4-16 18:19:44 | 只看该作者
可以参考一下TL_IPC双核通信例程。
1 C$ k1 X, g/ A$ P6 ETL_IPC是广州创龙独立开发的一种双核通讯协议。ARM核上运行Linux程序,DSP核上运行裸机或SYS/BIOS程序。基于TL_IPC的开发是指在两个处理间采用以直接触发中断进行异步事件通知,以直接读写共享内存进行数据交换,并结合创龙提供的c674x_irq_events内核模块(用于ARM端程序捕获由DSP触发的中断)实现双核通信的一种双核开发方式。
回复 支持 反对

使用道具 举报

5

主题

24

帖子

95

积分

注册会员

Rank: 2

积分
95
地板
 楼主| 发表于 2017-4-16 21:23:53 | 只看该作者
human 发表于 2017-4-16 18:19' O. q: ^9 U( I8 G
可以参考一下TL_IPC双核通信例程。
  s) P4 k8 X$ ~$ |TL_IPC是广州创龙独立开发的一种双核通讯协议。ARM核上运行Linux程序,D ...

5 M* L. T% ^+ H8 B8 [3 _7 y3 E@human 您好,请问有c674x_irq_events模块的源码吗,光盘没有附带,只提供模块,这个模块还不能满足我的需求,但是我想参考他的中断实现。谢谢
回复 支持 反对

使用道具 举报

5

主题

24

帖子

95

积分

注册会员

Rank: 2

积分
95
5#
 楼主| 发表于 2017-4-17 10:12:54 | 只看该作者
human 发表于 2017-4-16 18:19
; K, q3 f* i2 O4 y; V可以参考一下TL_IPC双核通信例程。1 p2 d6 ^( H, F; L
TL_IPC是广州创龙独立开发的一种双核通讯协议。ARM核上运行Linux程序,D ...
2 `8 K& ^3 }- a- r% y4 w/ a& h
请问有c674x_irq_events模块的源码吗
回复 支持 反对

使用道具 举报

5

主题

24

帖子

95

积分

注册会员

Rank: 2

积分
95
6#
 楼主| 发表于 2017-4-17 12:08:24 | 只看该作者
问题已解决,驱动没有任何问题,而是DSP端调用了DelayTimerSetup()这个函数导致定时器的状态被改变,从而导致arm端的定时器驱动无法正常工作,而依赖此定时器的其他驱动也就无法正常工作了,这也是双核的弊端吧,两个核共用一些资源,如果一个核在没有告知其他核的情况下改变了一些资源的寄存器就会导致出问题。
回复 支持 反对

使用道具 举报

5

主题

24

帖子

95

积分

注册会员

Rank: 2

积分
95
7#
 楼主| 发表于 2017-4-17 13:07:27 | 只看该作者
至于DSP触发ARM中断两次的问题,这是由于linux的中断机制导致的,可以参考https://e2e.ti.com/support/embedded/linux/f/354/t/234510" a2 ~! b  K  m$ ], Q( P% Q+ l5 D
解决方法:在dsp端触发后立即将clr标志位置1清除中断线,即可解决触发两次的问题
回复 支持 反对

使用道具 举报

3

主题

852

帖子

3538

积分

创龙

Rank: 8Rank: 8

积分
3538
8#
发表于 2017-4-17 15:41:59 | 只看该作者
加陈工的QQ2942385389获取资料
回复 支持 反对

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

QQ|手机版|小黑屋|嵌入式开发者社区 ( 粤ICP备15055271号

GMT+8, 2024-5-3 06:50 , Processed in 0.050832 second(s), 23 queries .

Powered by Discuz! X3.2

© 2001-2015 Comsenz Inc.

快速回复 返回顶部 返回列表