DSP与ARM通信的奇怪问题 - OMAP-L138 - 嵌入式开发者社区 - 51ele.net
设为首页收藏本站

嵌入式开发者社区

 找回密码
 立即注册

QQ登录

只需一步,快速开始

查看: 4664|回复: 7
打印 上一主题 下一主题

[已解决] DSP与ARM通信的奇怪问题

[复制链接]

5

主题

24

帖子

95

积分

注册会员

Rank: 2

积分
95
跳转到指定楼层
楼主
发表于 2017-4-16 13:54:18 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
本帖最后由 wwfdzh2012 于 2017-4-17 12:09 编辑 4 @( ^+ W* E  U

. j8 d7 ~+ Q8 [) o( t
项目要求DSP不跑操作系统,arm核上linux3.3,在做双核通信的linux设备驱动时候遇到了一个诡异的问题,只要DSP通过CHIPSIG_INT0触发ARM中断,ARM中的linux内核的其他很多驱动都停止了工作,连自己的led控制驱动都失去了响应,流水灯也失去了闪烁,LCD显示也停止了刷新,但是运行GUI还是可以显示界面,就是界面不能刷新,触摸屏也不懂了。好像是其他驱动都阻塞了!!!!
我的linux设备驱动是这样实现的:使用Tasklet实现软中断,只要DSP的数据一准备好就通过CHIPSIG_INT0触发ARM中断,这时候驱动的tasklet顶半部会立刻响应中断去读取数据,然后调度tasklet的底半部,这样的流程一般是没问题才对,但是现象就是只要顶半部被触发了,其他驱动就异常了,linux也没有任何提示,而且无法恢复,触发重启内核,但是唯独这个驱动完全正常运行,数据照样读取也不丢帧,还有一个现象是DSP触发一次CHIPSIG_INT0中断,ARM核会响应两次,也就是顶半部会触发两次调用!!我的驱动实现如下,麻烦帮忙看下。
  1. //头文件省略3 ]* _$ L- f) L0 X- W. A+ A  C& y3 ]
  2. ; v5 U. A% l! k4 I" P
  3. //引入其他模块函数和变量/ [* h+ a0 W6 H4 t( O: k
  4. extern Ping_Pong_Buffer res_buff;
    / v5 \! J4 m9 A$ a- L& D4 p6 D

  5. $ M+ y" c9 ]  l5 s% k6 R' F
  6. extern char k_linkQueue_create(linkQueue *queue, unsigned int dataType, unsigned int blockNumber, unsigned int blockSize);//创建链队列
    & F1 n6 f9 _  Q, v0 P& ~# \1 m2 T
  7. extern void k_linkQueue_release(linkQueue *queue);//释放链队列
    9 c* x7 B/ ?8 k9 q
  8. extern unsigned int k_linkQueue_insertData(linkQueue *queue, void *data, int force);//链队列插入数据, r9 j$ k+ [: G0 M7 i" V
  9. extern unsigned int k_linkQueue_getData(linkQueue *queue, void *r_buff);//获取队列的数据- S3 g. J( J" Z. f$ B1 Y# h" L

  10.   e" I6 ?" M/ J
  11. extern void SHM_ARM_Init(unsigned char* const mem_base);//共享内存初始化
    % @- h! e! j) \
  12. / L& H2 ^# P$ S! {. ^" y
  13. static int read_quest = 0;//如果有读取数据请求且链队列无数据可读,则置1
    + G* V" \2 C9 y7 U/ X8 E
  14. //设置主从设备号  }+ |* k0 S3 r# K$ I/ B/ L
  15. #define PROTOCOL_MAJOR      16 N4 |( e. O2 @7 o: A) k
  16. #define PROTOCOL_MINOR      0+ S( e: h3 i' }

  17. 3 K6 l1 D+ w2 N6 b4 y& E( m
  18. * `% [' l; z6 g/ B

  19. * P( {" q; _+ \! X2 }. w' S) n8 {" j
  20. //定义设备驱动的名字或设备节点的名字/ m9 r1 }! [4 K
  21. #define     DEVICE_NAME         "protocol_driver"9 m/ K5 n# r9 E1 x* w! ~

  22. : G& g4 m0 |; g
  23. " P6 d! H0 f+ D( W' O$ V
  24. //定义全局的循环队列作为数据缓冲区
    & C5 D& K" O+ B( x; ~6 J8 O
  25. k_linkQueue queue;
    ) q' T# b4 w" A, v, w
  26. # x8 q) o* ?: [) ~& }
  27. //寄存器地址映射全局变量
    9 ?7 X# l: {! Q; p; ^6 k1 ~
  28. unsigned int *R_CHIPSIG = NULL;//CHIPSIG寄存器! U+ \) \3 E- Z7 W% c! [( Z1 W3 z5 q
  29. unsigned int *R_CHIPSIG_CLR = NULL;//CHIPSIG_CLR寄存器& w& U. }2 |: N" B! }

  30. ! E" s. L% h  T1 {! P4 n9 D" `' D
  31. //物理内存映射全局变量- v1 B& h/ T. S2 F7 [
  32. volatile void *mem_base = NULL;
    ! n4 r* \- _" K2 G8 N4 J
  33. volatile unsigned char *cur_buf_ptr = NULL;4 c) a! o* p0 i. d7 C1 V6 ^" ?
  34. volatile unsigned char *data_ready_ptr = NULL;
    ) H- a0 V( V3 I; C4 k! u

  35. ; ]: X8 \' ^& W) y" u( R& X

  36. 6 j/ k5 i9 N4 q
  37. 7 |/ ]# F# M3 [
  38. //定义读数据等待队列头,IO阻塞7 V) r! b/ B3 O$ v8 @# H
  39. DECLARE_WAIT_QUEUE_HEAD(wait_queue_head);, a$ c$ P* U/ t% B' x7 U

  40. & L$ z+ v: ?) ~; _# w
  41. //定义原子变量# j+ B* G, j8 ^( w& C
  42. static atomic_t dev_available = ATOMIC_INIT(1);//原子变量的值为1,即只能一个进程打开此设备, I. U$ M/ C% C: o$ r; G

  43. , N  f; `2 t1 ?8 p$ Y. c
  44. . n' [8 y8 N" r& k/ C( }  b4 R9 d# {
  45. //定义设备类) a1 B) [) q) T7 P7 v; u+ T" J
  46. static struct class *protocol_class;
    : e! h# J: A) {/ V) L, T
  47. struct cdev *protocol_cdev;
    / V, C- S5 l, K% S% Z3 m; f% H
  48. dev_t protocol_dev_no;1 i! h+ s( T- R

  49. $ b! b  i% k: |5 S3 J  L
  50. /*定义tasklet和声明底半部函数并关联*/
    . K' x9 L7 k* w: Z, E
  51. void read_data_tasklet(unsigned long);
    3 Y" r7 m* ?* h8 \

  52. ) z& \$ m) ]6 C
  53. DECLARE_TASKLET(CHIPINT0_tasklet,read_data,0);9 G5 h- u) w7 s' l! Y3 l' f  V
  54. //将CHIPINT0_tasklet与read_data绑定,传入参数0
    , Z! n; H& [2 ~, y3 r  E
  55. % C3 A. _$ ~" v% Y
  56. /*中断处理底半部, 拷贝内存*/5 h" G( c2 s+ g- Y' [' v. q
  57. void read_data(unsigned long a)
    0 A( _/ j4 U) V0 _6 L
  58. {  G" @, O& d9 ^# Y& y1 n' Z& J# {
  59.     if(read_quest == 1)//如果进程调用了此驱动的read函数且链队列没有数据可读,read_quest将会被赋值为1% j+ u9 R. R' Q( G2 t. {- ~! J
  60.     {; C4 p/ @6 L  @2 C$ T
  61.         read_quest = 0;! f* ^& l  i& c- m
  62.         wake_up_interruptible(&wait_queue_head);//唤醒读等待队列- I* g! L+ n: v1 C: [# J0 l$ k* K
  63.     }1 u$ Y6 P$ U: {5 s; [+ ~! X

  64. ) V  H! U  w5 r" y
  65. }
    ; i7 x- z4 J' a
  66. ; ]* y- w$ _/ |4 }8 U: S
  67. /*中断处理顶半部*/& b* D; D- S: m
  68. irqreturn_t CHIPINT0_interrupt(int irq,void *dev_id)9 A2 S3 v3 ]7 M0 n2 t. U3 p) m
  69. {
    . K: i  r- L& i2 X
  70.     //只要一触发进入这个程序,其他驱动会出问题,即使这个程序什么都不做也会这样# O" F/ E: J/ Z7 J( l
  71.     volatile Buffer_Type *next_read;6 u, u1 E- f1 f% t& U. u; |
  72.     //如果DSP数据已经ready
    7 l2 S' s+ A! G6 s
  73.     if(*(res_buff.cur_buffer->data_ready) == 1)
    ( X& q- a& [3 n$ J- O
  74.     {
    + c5 \! L* T5 L! e! W& ^
  75.         if(*(res_buff.bufferID_ptr) == BUFF_ID_PING)//切换读buffer
    $ ^, ?3 d) `$ e1 }9 Z" t
  76.         {- x9 R9 @; K7 ?$ t9 ?' Z) Y; y
  77.             next_read = &res_buff.pong_buffer;//下一次中断读pong buffer: c) s, Y) _% i+ W$ s( U, G( W1 Y
  78.             //printk(KERN_ALERT"read ping\n");/ w, F$ F4 i! s1 ]$ k; @1 B
  79.         }
    # \  C4 p4 `/ p/ o
  80.         else
    5 U# o, w7 e+ K# {& z* Z0 W" a
  81.         {
    ; h; l# |  r, T' r; P+ e2 ]# c) y( a
  82.             next_read = &res_buff.ping_buffer;//下一次中断读ping buffer4 ?. _; o* H* |5 N' P( a+ P9 Y
  83.             //printk(KERN_ALERT"read pong\n");
    0 D/ v" a: Y1 V# }0 y4 b$ H
  84.         }( e( m0 O+ P. o- k% o* {
  85.         *(res_buff.bufferID_ptr) ^= BUFF_ID_PING;//切换DSP写另一个buffer
    7 ~+ F& A( l0 i, ~
  86.         //将数据插入链队列
    2 g/ P% @. W/ ~
  87.         k_linkQueue_insertData(&queue, res_buff.cur_buffer->buf_ptr, 0);# ^5 h( Q% Y/ j
  88.         //标识位都重置
    : t6 t# {) c0 M2 c  q0 F
  89.         *(res_buff.cur_buffer->data_ready) = 0;
    & h6 j$ n0 a9 N! ~' [+ h
  90.         *(res_buff.cur_buffer->data_size) = 0;
    $ G8 }7 j) w; `1 S
  91.         res_buff.cur_buffer = next_read;
    . P$ a6 ~6 e  m% Y
  92.     }& d3 b* U& a5 b. [5 a/ z+ }
  93.     //清楚中断标识
    6 ~$ [1 X# s9 n
  94.     *R_CHIPSIG_CLR = 1 << 0;//clear chipint0 status
    3 F5 V* X9 ]/ g, R5 k
  95.     tasklet_schedule(&CHIPINT0_tasklet);//调度底半部     
    " S+ E" P- w' v8 r1 n8 \

  96. 1 X' ]& V+ `* J: m& c

  97. 0 A* ^, ]  G" F- s6 e
  98.     return IRQ_HANDLED;
    3 }- {  N& P( ?% N  R
  99. 7 [$ u  N0 J; _( e6 |
  100. }
    3 u4 k, |; H: P6 t

  101. ' A' k  j% g' @; s
  102. //文件打开函数8 C) s8 O. }3 P
  103. static int protocol_open(struct inode *inode, struct file *file)
    6 y0 N9 ], J4 `1 X4 P& f
  104. {
    7 ^! I8 D1 q0 N4 d, R% @
  105.     int result = 0;
    & b0 \& {* Y( E8 {
  106.     if(!atomic_dec_and_test(&dev_available)); |0 d1 l" x0 g+ X
  107.     {
    ) b5 [% m( h* x7 D$ k+ S
  108.         atomic_inc(&dev_available);& `, H  F9 c( ]* E. F5 R" P
  109.         return -EBUSY;//设备已经被打开
    * `  y# Q: f' j; i$ h- ]
  110.     }( T  T6 j. a% a
  111.     printk (KERN_ALERT "\nprotrol driver open\n");- [7 M" R$ h2 ~1 d' `9 h
  112.     return 0;4 e; b4 `5 t" w4 N! K" Q! B4 ]
  113. }
    4 C% h9 n$ A9 z! |5 `. ^0 x) x

  114. + U: J9 \$ c( A# {
  115. //文件释放函数
    9 E, \+ p2 p, Q  J. ^
  116. static int protocol_release(struct inode *inode, struct file *filp); J6 I0 Y8 D, Y* y% J9 N- ?$ W
  117. {' F' v% N& I) q% ?: h0 f6 w( h
  118.     atomic_inc(&dev_available);//释放设备,原子变量加1
    $ P: Y4 i- Y/ j6 S0 ]2 O
  119.     printk (KERN_ALERT "device released\n");
    6 W4 N' a! S; Q- \% y  |
  120.     return 0;) v5 `: A# D, d  u4 g: |8 r3 V( Y
  121. }2 ~& C5 A0 T+ d  G$ F6 I
  122. 3 a) l5 q8 A/ u5 q! D. y
  123. //文件读函数
    ( \; m/ b) ~8 D! H- r3 Y* P+ H
  124. static int protocol_read(struct file *filp, char *dst, size_t size, loff_t*offset)
    # g* B. U4 g& m" f6 _4 y
  125. {
    # M; _( g- e5 o7 E' L" A% a
  126.     int ret = 0;
    2 ]# s( f. U, a3 y4 n, y! S/ n5 R1 F$ y
  127.     //定义等待队列
    . G; Z. ]: w- q7 s) P( d
  128.     DECLARE_WAITQUEUE(wait_queue, current);//定义等待队列9 R) w9 G. H# n! E" {) x9 B
  129.     add_wait_queue(&wait_queue_head, &wait_queue);//添加等待队列
    ! u" A' r( C$ U2 Z, _7 N# c' n! }
  130.     if(queue.remainNumber == queue.blockNumber)//当前buffer没数据" _# S4 j. O& G$ f5 S+ M, F( Y
  131.     {
    ( x" o- ^5 R: t+ `/ R
  132.         //printk(KERN_ALERT"\nbuffer no data\n");) f3 }1 N6 M* h! a
  133.         //如果是非阻塞方式读取,则直接跳出  s' Z$ F8 V$ M+ e/ X* v
  134.         if(filp->f_flags & O_NONBLOCK)
    5 x1 @4 W! [8 X1 |1 S; }7 D
  135.         {0 g( f! l- a% d7 c) J* w5 h
  136.             ret = -EAGAIN;6 L3 }# g4 Q5 h0 P6 _
  137.             goto out;
    , l4 @2 r, {1 \9 U/ ~: z
  138.         }
    : r! Y4 b7 b; P
  139.         //阻塞当前进程,放弃cpu资源4 [7 |/ v8 g8 A# G/ N5 G. o2 x! c- O
  140.         read_quest = 1;: P3 {$ y* \% T5 p
  141.         __set_current_state(TASK_INTERRUPTIBLE);//改变进程状态为睡眠
    ) P' p5 J. U& \
  142.         schedule();//调度其他进程运行5 ]7 y9 P- \5 }5 h# ~3 H
  143.         if(signal_pending(current))
    7 r1 r; P! n5 Y; t
  144.         {1 N9 w; ~! f# l
  145.             //如果是因为信号被唤醒,则返回到系统调用之前的地方: x7 n; _# E2 q/ p4 p* [8 U) V
  146.             ret = -ERESTARTSYS;# p/ `' P7 v1 ?: I
  147.             goto out;
    + t+ e8 N# E0 W
  148.         }; Z- i: E; }$ u* ?" z0 M' g- w
  149.     }
    ' y  p. n( T1 q
  150.     //将数据拷贝到用户空间
    7 A9 ~) o( g' h. |+ s, U
  151.     ret = k_linkQueue_getData(&queue, dst);
    ; f7 a2 T  t% _1 S/ p1 O
  152.     if(ret == 0)- k; |) L& t. Y5 g
  153.     {' ~' m0 f0 ^. C) X
  154.         //printk(KERN_ALERT"\ncopy data to user space failed :%d\n", ret);
    4 `$ o+ K' L0 N# i& W" \" j8 }
  155.     }
    + p5 ]* }/ s: j. J2 Y( V. t
  156. out:4 n/ [. @# B! c2 T, |2 e( Z
  157.     remove_wait_queue(&wait_queue_head, &wait_queue);//移除等待队列6 O5 H+ n, G  L. Z, w
  158.     set_current_state(TASK_RUNNING);//设置当前进程为运行状态
    7 a1 v1 D3 m2 X2 t
  159.     return ret;
    3 ]3 i: g( W# \" q1 r2 @0 a
  160. }
    " o+ c; T3 e1 `. H" m5 T

  161. * a# P. L* v8 k

  162.   Z- U0 a; p* Y; v  G( k
  163. static long protocol_ioctl(struct file *file, unsigned int cmd, unsigned long value)$ p4 i3 h* N1 X, s
  164. {
    " b6 [/ k% M5 n- P7 G( Y" |) }' F; J
  165.     return 0;
    9 P4 C/ U$ _7 T0 P
  166. }
    " w% |! h+ N9 S/ |
  167. /*驱动文件操作结构体,file_operations结构体中的成员函数会在应用程序进行
    4 d- k, h8 a7 h1 d, c; }8 O7 ]) z
  168. open()、release()、ioctl()协同调用时被调用*/
    + L5 z! q5 B* ^2 ^* F# G" f) r+ R
  169. static const struct file_operations protocol_fops =! t, g6 C( c6 [
  170. {
    # b5 x2 o9 y" k( `$ o$ u6 m
  171.   .owner    = THIS_MODULE,5 w: ~. e0 B! `, ^
  172.   .open     = protocol_open,
    5 l' G5 o+ {. q$ [( x6 _5 o& `" c6 J1 i
  173.   .release  = protocol_release,
    " w+ B5 y' e5 }2 n+ S
  174.   .read     = protocol_read,
    + a8 H3 F% G6 p* t$ G
  175. //  .write  = protocol_write,
    & e4 |; U1 J3 P) Q$ a- E& v
  176.   .unlocked_ioctl=protocol_ioctl,
    % o' E! C; w* W/ W& d
  177. };& Q# `9 h8 D1 o4 g1 {3 M
  178. 5 g5 p% R& y- J* ]
  179. /*设备驱动模块加载函数*/
    ; u9 T; h0 U5 e6 W8 B8 l
  180. int __init protocol_init(void)
    & K, s" X5 W% ^. {1 X% v
  181. {! R; {" K: \  G
  182.     int ret = 0;) K- ?6 G9 ]# O# h! a! ]1 v1 D- _
  183.     int result = 0;
    $ X9 _8 Z9 V7 k2 f% e7 j+ q6 y
  184.     //申请注册设备号(动态)
      ~* _! v0 O+ N, M; m: Z
  185.     ret=alloc_chrdev_region(&protocol_dev_no, PROTOCOL_MINOR, 1, DEVICE_NAME); $ x" s5 V8 @1 j8 S: E. j4 ~4 P
  186.     if(ret < 0)
    ! Q$ U( V* v: C! R
  187.     {+ e6 r+ P9 M" Y7 j- x7 w9 X; }6 h
  188.             printk(KERN_EMERG "alloc_chrdev_region failed\n");- ]" N% N' L2 u# I$ b
  189.             return 0;
    9 h; m4 r4 y3 l. o, K
  190.     }. M! T; D4 }& O- b8 Z, w
  191.     //分配cdev) V' i! B1 j  o: z5 L8 N$ A$ j
  192.     protocol_cdev = cdev_alloc();* i6 O) I9 ^+ V4 \% b1 t
  193.     if(protocol_cdev == NULL); `3 Q1 z' p5 ?) N; M
  194.     {
    " b5 G- [1 M4 E0 P; j% z; X
  195.             printk(KERN_EMERG "Cannot alloc cdev\n");
    5 f1 h. h. t( @5 u7 b- c3 V8 G+ G4 T6 r
  196.             return 0;" l9 B; U' V/ o0 m9 z
  197.     }
    : {6 g# i# _3 S% \$ U- o# h- [
  198.     //初始化cdev
    7 K7 R9 _' P7 S/ X" y" r1 g1 }9 N  M
  199.     cdev_init(protocol_cdev,&protocol_fops);4 G1 v  x) ]. X# x
  200.     protocol_cdev->owner=THIS_MODULE;
    - F, n2 H! G6 V  v$ D, i
  201.     //注册cdev
    1 b( m% o) h5 m  R) p# s: g0 N
  202.     cdev_add(protocol_cdev, protocol_dev_no, 1);
    4 S9 E1 X9 k8 c  [: s
  203.     //创建一个类. z8 Y- R# I; p  f. L2 l. B: k
  204.     protocol_class = class_create(THIS_MODULE, DEVICE_NAME);* L9 ~& `$ S- ]$ t4 `( ]
  205.     //创建设备节点" P) ~" _; @# T$ f; v
  206.     device_create(protocol_class, NULL, protocol_dev_no, NULL, DEVICE_NAME);0 r! ]( r7 B8 E7 I
  207.     8 Y0 J% l' {# L: M
  208.     3 k1 ^2 Y( Z6 E5 s: D! V
  209.     //申请链式循环队列作为缓冲区DSP数据帧的缓冲区; d& {2 ~1 p: D6 ^, \: e! x
  210.     k_linkQueue_create(&queue, sizeof(double), 1000, DATA_BLOCK_SIZE);//申请1000个blocksize的队列空间作为帧缓冲区
    2 z: ^0 ?! u# l; \7 ?. ^) f# W% e! y2 z
  211. - L- v9 w6 f) M7 k' y- [4 M, h: w2 a+ ?# U
  212. //映射ARM的核间通讯寄存器; b# ?1 x" E3 F0 v3 @5 ?: R9 X; K
  213.     R_CHIPSIG = ioremap(SOC_SYSCFG_0_REGS + SYSCFG0_CHIPSIG, 4);
    , ^" a0 y* @9 ?( ~0 B- U( d
  214.     R_CHIPSIG_CLR = ioremap(SOC_SYSCFG_0_REGS + SYSCFG0_CHIPSIG_CLR, 4);
    0 {6 J+ G3 v) C  G4 H" k" k* O
  215.     //将物理地址映射到内核空间
    , s( Y! o" a( W& V
  216.     mem_base = ioremap(SHARED_BUFFER_ADDR, SHARED_BUFFER_SIZE);
    " n0 r. F3 h. N8 i- N
  217.     //共享内存初始化" ?. |# [" F' B' N
  218.     SHM_ARM_Init((unsigned char *)mem_base);
    % R( g: v/ O' \8 D# e+ ~* `
  219.     /*申请中断*/
    2 g% e8 ~& C( F1 t

  220. 6 }- F0 o6 \- P. ]! Q6 W
  221.     result = request_irq(IRQ_DA8XX_CHIPINT0, CHIPINT0_interrupt,IRQF_SHARED,"DSP Signal",&protocol_cdev);
    6 t2 }8 o& T+ R) M
  222.     if(result != 0)
    8 Q/ U7 Y; t# T2 I
  223.     {5 R; q3 O- k& x9 r" {5 i' O
  224.         if(result == -EINVAL)- u# N) w/ N; C" r7 m1 c7 Y, ^
  225.         {3 y, g! y" w2 |- n
  226.             printk(KERN_ALERT "irq request err:-EINVAL\n");
    # A' F& n& }& j5 L
  227.         }, C" S. A" o4 o) R
  228.         else if(result == -EBUSY)6 E" j7 K2 b5 A
  229.         {
    + s0 m4 U6 v6 g% B/ f5 O
  230.             printk(KERN_ALERT "irq request err:--EBUSY\n");
    " v' ]: G8 k& }" g- }* F9 z
  231.         }
    1 W. t! }& E: @5 w
  232.         else
    8 I  j. t$ l5 v3 k$ i7 ]
  233.         {& y$ R# {( O2 P# ~3 N
  234.             printk(KERN_ALERT "irq request err: unknown\n");3 }6 A# O7 z" A
  235.         }
    3 a. s% B, }& h9 q. N( _6 F
  236.         return result;
    & y, a- T" ]" g) j. @
  237.     }) d. E) [- O6 H( E: O
  238.     return 0;
    ( C+ I' Z5 Q, n. M
  239. }2 O5 O/ T5 J% F& G7 z6 l) U
  240. 5 B( v& K4 ~4 O. ~# l( m
  241. /*设备驱动模块卸载函数*/
    ; c# G6 s; x7 m7 p7 r% R
  242. void __exit protocol_exit(void); P( N. U* v% P" e( u1 Z# ^
  243. {9 B) r& B' O1 ~3 ]' t7 y0 Y2 T+ R
  244.         /*释放中断*/
    3 v" k2 g% F5 f% v9 b
  245.     free_irq(IRQ_DA8XX_CHIPINT0, NULL);, O$ Q+ f2 x& R
  246.     //释放帧缓冲的内存
    8 w. q/ z9 {+ o5 W7 I8 C
  247.     k_linkQueue_release(&queue);
    % i* q$ j8 n- p7 ]4 v' R
  248.     //释放寄存器映射- |: P, ^7 q9 u! n6 V
  249.     iounmap(R_CHIPSIG);3 T6 x0 B  S- N  @1 a  `! Z
  250.     iounmap(R_CHIPSIG_CLR);
    3 ^* L8 a  M9 K7 ^4 h5 g
  251.     cdev_del(protocol_cdev);                            //删除cdev8 o1 F+ d- ?9 F! C, S: z* P) V; `
  252.     unregister_chrdev_region(protocol_dev_no, 1);   //注销设备号
      D6 M# q# v8 W: [' P. t
  253.     device_destroy(protocol_class, protocol_dev_no);            //销毁设备节点
    - s! J& J& q# P" N
  254.     class_destroy(protocol_class);                      //销毁设备类' _) }, Z( R0 l5 ?
  255.     printk(KERN_ALERT "exit success\n");. H7 Q, [$ Y( l6 Q8 \- g9 m
  256. ! ]- E  Y; v! z" r% o
  257. }
    . _& E. w5 {+ N% p( L
  258. //驱动其他部分省略
复制代码

; b* N3 m7 p' Z7 u3 t) S1 Y0 z+ O6 t
  s0 e1 Y- \0 b6 ~% U- v2 ^9 d
分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏 分享淘帖
回复

使用道具 举报

5

主题

24

帖子

95

积分

注册会员

Rank: 2

积分
95
沙发
 楼主| 发表于 2017-4-16 13:54:19 | 只看该作者
没有人遇到这个问题吗
回复 支持 反对

使用道具 举报

3

主题

852

帖子

3538

积分

创龙

Rank: 8Rank: 8

积分
3538
板凳
发表于 2017-4-16 18:19:44 | 只看该作者
可以参考一下TL_IPC双核通信例程。
" U) g. C  |" rTL_IPC是广州创龙独立开发的一种双核通讯协议。ARM核上运行Linux程序,DSP核上运行裸机或SYS/BIOS程序。基于TL_IPC的开发是指在两个处理间采用以直接触发中断进行异步事件通知,以直接读写共享内存进行数据交换,并结合创龙提供的c674x_irq_events内核模块(用于ARM端程序捕获由DSP触发的中断)实现双核通信的一种双核开发方式。
回复 支持 反对

使用道具 举报

5

主题

24

帖子

95

积分

注册会员

Rank: 2

积分
95
地板
 楼主| 发表于 2017-4-16 21:23:53 | 只看该作者
human 发表于 2017-4-16 18:19/ @3 Y& F9 f5 ?1 g% R6 G
可以参考一下TL_IPC双核通信例程。6 I, [3 [  l& d
TL_IPC是广州创龙独立开发的一种双核通讯协议。ARM核上运行Linux程序,D ...
( A& |/ c& O  H% R
@human 您好,请问有c674x_irq_events模块的源码吗,光盘没有附带,只提供模块,这个模块还不能满足我的需求,但是我想参考他的中断实现。谢谢
回复 支持 反对

使用道具 举报

5

主题

24

帖子

95

积分

注册会员

Rank: 2

积分
95
5#
 楼主| 发表于 2017-4-17 10:12:54 | 只看该作者
human 发表于 2017-4-16 18:19
0 \/ C0 S3 k2 U  [0 `) v可以参考一下TL_IPC双核通信例程。) e/ A* @  ~7 e( W1 C1 E0 ^
TL_IPC是广州创龙独立开发的一种双核通讯协议。ARM核上运行Linux程序,D ...
1 \; _# g3 h4 \8 o; l9 B: l
请问有c674x_irq_events模块的源码吗
回复 支持 反对

使用道具 举报

5

主题

24

帖子

95

积分

注册会员

Rank: 2

积分
95
6#
 楼主| 发表于 2017-4-17 12:08:24 | 只看该作者
问题已解决,驱动没有任何问题,而是DSP端调用了DelayTimerSetup()这个函数导致定时器的状态被改变,从而导致arm端的定时器驱动无法正常工作,而依赖此定时器的其他驱动也就无法正常工作了,这也是双核的弊端吧,两个核共用一些资源,如果一个核在没有告知其他核的情况下改变了一些资源的寄存器就会导致出问题。
回复 支持 反对

使用道具 举报

5

主题

24

帖子

95

积分

注册会员

Rank: 2

积分
95
7#
 楼主| 发表于 2017-4-17 13:07:27 | 只看该作者
至于DSP触发ARM中断两次的问题,这是由于linux的中断机制导致的,可以参考https://e2e.ti.com/support/embedded/linux/f/354/t/234510  V, u3 o1 L1 \, Y
解决方法:在dsp端触发后立即将clr标志位置1清除中断线,即可解决触发两次的问题
回复 支持 反对

使用道具 举报

3

主题

852

帖子

3538

积分

创龙

Rank: 8Rank: 8

积分
3538
8#
发表于 2017-4-17 15:41:59 | 只看该作者
加陈工的QQ2942385389获取资料
回复 支持 反对

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

QQ|手机版|小黑屋|嵌入式开发者社区 ( 粤ICP备15055271号

GMT+8, 2024-5-19 03:23 , Processed in 0.046265 second(s), 24 queries .

Powered by Discuz! X3.2

© 2001-2015 Comsenz Inc.

快速回复 返回顶部 返回列表