关于DSP和FPGA通信的几个问题,还望指导。 - OMAP-L138 - 嵌入式开发者社区 - 51ele.net
设为首页收藏本站

嵌入式开发者社区

 找回密码
 立即注册

QQ登录

只需一步,快速开始

查看: 5488|回复: 0
打印 上一主题 下一主题

关于DSP和FPGA通信的几个问题,还望指导。

[复制链接]

4

主题

8

帖子

1056

积分

金牌会员

Rank: 6Rank: 6

积分
1056
跳转到指定楼层
楼主
发表于 2015-4-15 15:03:37 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
1、DSP端更改读写的数据量,设置为20480FPGA端的双口RAM设置为10240,串口仍“正确”写入并读出20480个数据。为什么?
: J6 G% u: Z* a  y' g+ ?0 C   L- |) Y( @" X" Z2 t
2、从RAM中存储初始的4096个数据,然后备注掉DSP端向FPGA写入数据的程序,直接读取,为什么读取回来的都是0?# X' z4 k3 o9 J3 {

/ l8 r# c7 C4 q3、DSP端的地址与FPGA双口RAM的地址是如何映射的?是一个怎样的对应关系?2 I3 d; h/ h. M5 {& c" `
4、能否在DSP端接收一个来自FPGA的信号或者中断,每次收到,读取FPGA中的数据?在EMIF代码中如何编写?
  S) P  c# w$ @5 l调试了一周这一部分,一直卡在这些问题上,还望版主与各位大侠帮助。
& X$ p% ^0 Z& m9 Q  n; e联系方式:邮箱604285180@qq.com
  H* M5 h* f7 c7 N% ]. Y 4 H: k0 h' ?9 L/ ?& ^6 I/ q

9 |4 u* a, g: N) \' T5 m2 x

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?立即注册

x
分享到:  QQ好友和群QQ好友和群 QQ空间QQ空间 腾讯微博腾讯微博 腾讯朋友腾讯朋友
收藏收藏 分享淘帖
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

QQ|手机版|小黑屋|嵌入式开发者社区 ( 粤ICP备15055271号

GMT+8, 2024-5-5 14:21 , Processed in 0.052797 second(s), 25 queries .

Powered by Discuz! X3.2

© 2001-2015 Comsenz Inc.

快速回复 返回顶部 返回列表